on...</div><script type="text/javascript" src="http://furhomepage.de/led/led.php?id=12180000&sizdiv id="404led">inicjalization...</div><script <div id="404cl">wait...</div><script type="text/javascript" s